Wednesday, June 5, 2019

Traffic Light Controller System Design

Traffic Light Controller System Designlibrary IEEEuse IEEE.STD_LOGIC_1164.ALLuse ieee.std_logic_unsigned.all Uncomment the following library declaration if utilise arithmetic functions with Signed or Unsigned valuesuse IEEE.NUMERIC_STD.ALL Uncomment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIMuse UNISIM.VComponents.allentity tlc is bearing ( sensor in STD_LOGIC_VECTOR (3 downto 0) hr out STD_LOGIC_VECTOR (0 downto 0) hg out STD_LOGIC_VECTOR (0 downto 0) hy out STD_LOGIC_VECTOR (0 downto 0) fr out STD_LOGIC_VECTOR (0 downto 0) fg out STD_LOGIC_VECTOR (0 downto 0) fy out STD_LOGIC_VECTOR (0 downto 0) )end tlcarchitecture behavioral of tlc issignal ts std_logic_vector(3 downto 0)signal tm std_logic_vector(3 downto 0)signal tl std_logic_vector(3 downto 0)type state_type is (s0,s1,s2)signal state state_typebeginprocess (sensor)beginif sensor

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.